首页 技术 正文
技术 2022年11月18日
0 收藏 310 点赞 3,627 浏览 948 个字

Verilog重载模块参数:

当一个模块引用另外一个模块时,高层模块可以改变低层模块用parameter定义的参数值,改变低层模块的参数值可采用以下两种方式:

1)defparam 重定义参数
语法:defparam path_name = value ;
低层模块的参数可以通过层次路径名重新定义,如下例:

module top ( .....)
input....;
output....;
defparam U1 . Para1 = ;
M1 U1 (..........);
endmodulemodule M1(....);
parameter para1 = ;
input...;
output...;
......
endmodule

在上例中,模块M1参数 para1 的缺省值为5,而模块top实例了M1后将参数的值改为10。

2) 实例化时传递参数
在这种方法中,实例化时把参数传递进去,如下例所示:

module top ( .....)
input....;
output....;
M1 #( ) U1 (..........);
endmodule

在该例中,用#( 10 )修改了上例中的参数para1,当有多个参数时,用逗号隔开,如#( 10 , 5 )

defparam可综合性问题:一般情况下是不可综合的.
提示:不要使用defparam语句!

[例1]
module mod ( out, ina, inb);

parameter cycle = , real_constant = 2.039,
file = "/user1/jmdong/design/mem_file.dat";

endmodulemodule test;

mod mk(out,ina,inb); // 对模块mod的实例引用
defparam mk.cycle = , mk.file = "../my_mem.dat";
// 参数的传递

endmodule
[例2]
module mod ( out, ina, inb);

parameter cycle = , real_constant = 2.039,
file = "/user1/jmdong/design/mem_file.dat";

endmodulemodule test;

mod # (, 3.20, "../my_mem.dat") mk(out,ina,inb);
// 对模块mod的实例引用

endmodule

建议用此方法!

相关推荐
python开发_常用的python模块及安装方法
adodb:我们领导推荐的数据库连接组件bsddb3:BerkeleyDB的连接组件Cheetah-1.0:我比较喜欢这个版本的cheeta…
日期:2022-11-24 点赞:878 阅读:9,088
Educational Codeforces Round 11 C. Hard Process 二分
C. Hard Process题目连接:http://www.codeforces.com/contest/660/problem/CDes…
日期:2022-11-24 点赞:807 阅读:5,564
下载Ubuntn 17.04 内核源代码
zengkefu@server1:/usr/src$ uname -aLinux server1 4.10.0-19-generic #21…
日期:2022-11-24 点赞:569 阅读:6,413
可用Active Desktop Calendar V7.86 注册码序列号
可用Active Desktop Calendar V7.86 注册码序列号Name: www.greendown.cn Code: &nb…
日期:2022-11-24 点赞:733 阅读:6,186
Android调用系统相机、自定义相机、处理大图片
Android调用系统相机和自定义相机实例本博文主要是介绍了android上使用相机进行拍照并显示的两种方式,并且由于涉及到要把拍到的照片显…
日期:2022-11-24 点赞:512 阅读:7,822
Struts的使用
一、Struts2的获取  Struts的官方网站为:http://struts.apache.org/  下载完Struts2的jar包,…
日期:2022-11-24 点赞:671 阅读:4,905