首页 技术 正文
技术 2022年11月14日
0 收藏 993 点赞 5,124 浏览 2001 个字
ERROR:Place:1108 - A clock IOB / BUFGMUX clock component pair have been found
   that are not placed at an optimal clock IOB / BUFGMUX site pair. The clock
   IOB component <Clk_125M> is placed at site <PAD99>. The corresponding BUFG
   component <U_Clk_ctrl/U_2_CLK_SWITCH/U_BUFGMUX> is placed at site
   <BUFGMUX_X2Y12>. There is only a select set of IOBs that can use the fast
   path to the Clocker buffer, and they are not being used. You may want to
   analyze why this problem exists and correct it. If this sub optimal condition
   is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE
   constraint in the .ucf file to demote this message to a WARNING and allow
   your design to continue. However, the use of this override is highly
   discouraged as it may lead to very poor timing results. It is recommended
   that this error condition be corrected in the design. A list of all the
   COMP.PINs used in this clock placement rule is listed below. These examples
   can be used directly in the .ucf file to override this clock rule.
   < NET "Clk_125M" CLOCK_DEDICATED_ROUTE = FALSE; >你在你引脚声明的下面加上这句话 NET "cp" CLOCK_DEDICATED_ROUTE = FALSE; 因为这是时序约束造成的。
最后的样子是下面:
NET "i_clk" CLOCK_DEDICATED_ROUTE = FALSE;
NET "i_clk" LOC = B18;

ISE编译时遇到的错误,网上也有很多此类的说明

ERROR:Place:1018 – A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB /
   clock site pair. The clock component <in_BUFGP/BUFG> is placed at site <BUFGMUX_X2Y10>. The IO component <in> is
   placed at site <PAD60>.  This will not allow the use of the fast path between the IO and the Clock buffer. If this
   sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .ucf
   file to demote this message to a WARNING and allow your design to continue. However, the use of this override is
   highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be
   corrected in the design. A list of all the COMP.PINs used in this clock placement rule is listed below. These
   examples can be used directly in the .ucf file to override this clock rule.
   < NET “in” CLOCK_DEDICATED_ROUTE = FALSE; >
该错误在旧版本的ise中可以通过添加环境变量的方法来忽略,在ise12中可以在ucf文件中添加:

NET “in” CLOCK_DEDICATED_ROUTE = FALSE;

来忽略此错误,错误形成的原因可能为:

布板的时候时钟接到了fpga差分时钟的N脚了,单端时钟一定要接到P脚,这样才能使用全局时钟布线资源,保证时钟性能。

相关推荐
python开发_常用的python模块及安装方法
adodb:我们领导推荐的数据库连接组件bsddb3:BerkeleyDB的连接组件Cheetah-1.0:我比较喜欢这个版本的cheeta…
日期:2022-11-24 点赞:878 阅读:9,088
Educational Codeforces Round 11 C. Hard Process 二分
C. Hard Process题目连接:http://www.codeforces.com/contest/660/problem/CDes…
日期:2022-11-24 点赞:807 阅读:5,564
下载Ubuntn 17.04 内核源代码
zengkefu@server1:/usr/src$ uname -aLinux server1 4.10.0-19-generic #21…
日期:2022-11-24 点赞:569 阅读:6,412
可用Active Desktop Calendar V7.86 注册码序列号
可用Active Desktop Calendar V7.86 注册码序列号Name: www.greendown.cn Code: &nb…
日期:2022-11-24 点赞:733 阅读:6,185
Android调用系统相机、自定义相机、处理大图片
Android调用系统相机和自定义相机实例本博文主要是介绍了android上使用相机进行拍照并显示的两种方式,并且由于涉及到要把拍到的照片显…
日期:2022-11-24 点赞:512 阅读:7,821
Struts的使用
一、Struts2的获取  Struts的官方网站为:http://struts.apache.org/  下载完Struts2的jar包,…
日期:2022-11-24 点赞:671 阅读:4,905