首页 技术 正文
技术 2022年11月6日
0 收藏 634 点赞 837 浏览 1116 个字

实验二LED

实验内容

在实验一的基础上,将simulink产生的测试信号输出到FPGA开发板上的LED灯进行显示,这里要在生成的硬件模型上进行修改,将传送到FPGA的信号输出到8个LED灯上,并且对信号进行分配引脚。

创建模型

在Matlab的指令窗口输入以下指令,hdlsetuptoolpath(‘ToolName’,’Altera Quartus II’,’ToolPath’,’C:\altera\11.0\quartus\bin\quartus.exe(修改为软件安装的路径)’)。

FPGA与simulink联合实时环路系列——实验二LED

Simulink菜单操作 Verification Wizards -> FPGA-in-the-Loop (FIL)或Matlab 提示符输入:filWizard。

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

硬件设计

由于led是从开发板上进行输出的,所以需要对FPGA设计工程进行修改,将led信号从最底层的模块进行映射到顶层形成引脚信号,然后根据FPGA板的引脚分配进行引脚约束,最后进行综合。

由此需要在底层rtl将位选信号和段选信号进行映射到顶层,需要将最顶层的信号,一层一层地映射出来,由底至顶的顺序如下:fil_led_wrapper、FILCore、fil_led_fil。

在FILCore文件中进行如下修改(红色线标注)。

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

在fil_led_fil文件中进行如下修改,红色线标注。

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

引脚配置采用脚本文件进行配置。

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

综合结果

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

Simulink模块设计

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

实现代码

LIBRARY IEEE;

USE IEEE.std_logic_1164.ALL;

USE IEEE.numeric_std.ALL;

ENTITY fil_led IS

port(

datain : in std_logic_vector(7 downto 0);

dataout: out std_logic_vector(7 downto 0);

clk: in std_logic;

clk_en: in std_logic;

reset: in std_logic);

end entity;

architecture rtl of fil_led is

begin

process(clk)

begin

if clk’event and clk=’1′ then

if reset = ‘0’ then

dataout <= (others => ‘0’);

elsif clk_en = ‘1’ then

dataout <= datain;

end if;

end if;

end process;

end rtl;

把Matlab产生的信号,通过JTAG接口输出到FPGA然后,FPGA再通过JTAG传送到Matlab,用于测试整个环路。

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

修改运行的时间

FPGA与simulink联合实时环路系列——实验二LED

下载硬件sof文件

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

双击示波器后出现

FPGA与simulink联合实时环路系列——实验二LED

实验现象

FPGA与simulink联合实时环路系列——实验二LED

FPGA与simulink联合实时环路系列——实验二LED

每日推送不同科技解读,原创深耕解读当下科技,敬请关注微信公众号“科乎”。

FPGA与simulink联合实时环路系列——实验二LED

相关推荐
python开发_常用的python模块及安装方法
adodb:我们领导推荐的数据库连接组件bsddb3:BerkeleyDB的连接组件Cheetah-1.0:我比较喜欢这个版本的cheeta…
日期:2022-11-24 点赞:878 阅读:9,088
Educational Codeforces Round 11 C. Hard Process 二分
C. Hard Process题目连接:http://www.codeforces.com/contest/660/problem/CDes…
日期:2022-11-24 点赞:807 阅读:5,564
下载Ubuntn 17.04 内核源代码
zengkefu@server1:/usr/src$ uname -aLinux server1 4.10.0-19-generic #21…
日期:2022-11-24 点赞:569 阅读:6,412
可用Active Desktop Calendar V7.86 注册码序列号
可用Active Desktop Calendar V7.86 注册码序列号Name: www.greendown.cn Code: &nb…
日期:2022-11-24 点赞:733 阅读:6,185
Android调用系统相机、自定义相机、处理大图片
Android调用系统相机和自定义相机实例本博文主要是介绍了android上使用相机进行拍照并显示的两种方式,并且由于涉及到要把拍到的照片显…
日期:2022-11-24 点赞:512 阅读:7,822
Struts的使用
一、Struts2的获取  Struts的官方网站为:http://struts.apache.org/  下载完Struts2的jar包,…
日期:2022-11-24 点赞:671 阅读:4,905